OTPに書き込まれた内容の読み出しエラーについて

こんにちは。

GreenPAKはOTPタイプがほとんどと伺っております。

OTPに書き込んだ内容はどのような仕組みで内部回路に読み出されるのでしょうか?

また、読み出し時にOTPに書き込まれた内容と違う回路が構成されてしまう様な事はあり得ますでしょうか?

もしあり得る場合、そういった状況をGreenaPAK内部で検出する仕組みはありますでしょうか?

お手数ですがよろしくお願いします。

  • QQQQさん、こんにちわ。

    OTPに書き込んだ内容は直接内蔵レジスタに展開されます。

    そのため、SRAMで見られる様な宇宙線でのデータ化けの様な問題は発生しません。

    よろしくお願いします。

  • QQQQ様

    お問い合わせ有難うございます。

    OTPに書き込まれたデータは、電源投入時に内部のレジスタに展開されて、機能ブロックやIOの設定が行われます。書き込まれた内容と違う回路が構成されてしまうことは、過去には起きておりません。

    OTPに書き込むデータについては、ベリファイおよびチェックサムの検証が行われています。また、比較的新しいベースダイでは、書き込みデータに対してCRCの検証を行うものもあります。